Fast USB with FTDI’s FT232H

I recently implemented fast (30-40 MB/s) USB communication between an FPGA board (Digilent’s CMOD A7, based on a Xilinx Artix-7 FPGA) and a host PC. This uses the FT245 synchronous FIFO mode of an FTDI FT232H or similar. I’m using Verilog (technically SystemVerilog, but for this I’m using very little syntax that is not also …

Fast USB with FTDI’s FT232H Read More »